Apple’s M1 Ultra Uses TSMC’s ‘InFO_LI’ Packaging Method, Enabling Lower Cost in Mass Producing the Custom SoC

Apple’s M1 Ultra Uses TSMC’s ‘InFO_LI’ Packaging Method, Enabling Lower Cost in Mass Producing the Custom SoC

2 years ago
Anonymous $xqL1ZTchGQ

https://wccftech.com/apple-m1-ultra-uses-new-info-li-packaging-method-by-tsmc/

During the official M1 Ultra announcement, Apple detailed how its most powerful custom silicon for the Mac Studio is capable of achieving a bandwidth of 2.5TB/s using its UltraFusion chip-to-chip interconnect, which involves the communication of two M1 Max SoCs working in unison. TSMC has now confirmed that Apple’s most powerful chipset to date was not mass produced on the Taiwanese giant’s CoWoS-S (chip-on-wafer-on-substrate with silicon interposer) 2.5D interposer-based packaging, but its Integrated Fan-Out (InFO) with local silicon interconnect (LSI) instead.

TSMC’s CoWoS-S packaging method is used by many of the chip maker’s partners, including Apple, so it was assumed that the M1 Ultra would also be mass produced using it. However, Tom’s Hardware reported that Tom Wassick, a semiconductor packaging engineering professional, republished a slide clarifying the packaging method, showing that Apple employed the use of InFO_LI on this occasion.